0% found this document useful (0 votes)
366 views11 pages

VLSI Design and Verification

This document provides an overview of VLSI design and verification. It discusses how VLSI plays a key role in modern electronics by enabling the integration of millions of transistors on a single chip. It also explains that VLSI design involves creating complex semiconductor circuits, while verification ensures these circuits function properly. The document then outlines that it will explore the fundamentals of VLSI design and verification, delving into how integrated circuits are created and tested. It also lists some of the software used, including Intel Quartus Prime and ModelSim, and provides an example AHB2APB design project that aims to create a bridge between two bus protocols.

Uploaded by

openhousehere
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PPTX, PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
366 views11 pages

VLSI Design and Verification

This document provides an overview of VLSI design and verification. It discusses how VLSI plays a key role in modern electronics by enabling the integration of millions of transistors on a single chip. It also explains that VLSI design involves creating complex semiconductor circuits, while verification ensures these circuits function properly. The document then outlines that it will explore the fundamentals of VLSI design and verification, delving into how integrated circuits are created and tested. It also lists some of the software used, including Intel Quartus Prime and ModelSim, and provides an example AHB2APB design project that aims to create a bridge between two bus protocols.

Uploaded by

openhousehere
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PPTX, PDF, TXT or read online on Scribd
You are on page 1/ 11

VLSI Design

and
Verification
INDUSTRIAL TRAINING
PRESENTATION
Introduction
Welcome to the world of VLSI (Very Large Scale Integration) design and verification! VLSI plays a
pivotal role in modern electronics, enabling the integration of millions or even billions of transistors on
a single chip.

In essence, VLSI design involves the creation of complex semiconductor circuits, while verification
ensures these circuits function as intended. The ever-growing demand for smaller, more efficient, and
powerful electronic devices underscores the critical importance of VLSI in technological
advancements.

Today, we'll explore the fundamentals of VLSI design and verification, delving into the intricacies of
creating high-performance integrated circuits and ensuring their reliability. So, buckle up as we
embark on this journey into the heart of digital innovation!
Verilog History
•Developed by Gateway Design Automation in the mid-1980s

•First version, Verilog-XL, introduced in 1985

•Acquired by Cadence Design Systems in 1990

•Standardized under IEEE 1364 in 1995

•Evolved into SystemVerilog

•Key language for electronic design automation (EDA)

•Used for describing and simulating digital circuits

•Facilitates design and verification of complex digital systems.


Types of Modelling
Behavioral Modeling:
•Describes system functionality.

•Focuses on what the system does, not how it's implemented.

•Often used for algorithmic or high-level descriptions.

Gate-Level Modeling:
•Describes the system using logic gates.

•Represents the logical connections between gates.

•Provides a low-level abstraction of the digital circuit.


Types of Modelling
Register Transfer Level (RTL) Modeling:
•Describes how data moves between registers.

•Specifies operations in terms of data transfers between registers.

•Commonly used for digital circuit design.


Software Required
Intel Quartus Prime
•Developed by Intel for FPGA (Field-Programmable Gate Array) design.

•Provides a comprehensive environment for design, synthesis, and analysis.

•Supports various FPGA families, including Intel's Stratix, Arria, and Cyclone series.

•Includes a user-friendly GUI (Graphical User Interface) for design entry and visualization.

•Offers RTL (Register-Transfer Level) and Block-based design entry methods.

•Integrates with the Qsys system integration tool for IP (Intellectual Property) integration.

•Supports various HDLs (Hardware Description Languages) like Verilog, VHDL, and SystemVerilog.
Software Required
ModelSim
• Developed by Mentor Graphics (now part of Siemens).

• Supports simulation of VHDL, Verilog, and SystemVerilog designs.

• Provides a graphical user interface (GUI) for simulation control.

• Accommodates VHDL, Verilog, and SystemVerilog design languages.

• Features a waveform viewer for visualizing simulation results.

• Compatible with major operating systems such as Windows and Linux.

• Integrates seamlessly with other EDA (Electronic Design Automation) tools.

• Widely adopted in the semiconductor industry.


AHB2APB Design Project
• Aims to create a bridge between AMBA High-Performance Bus (AHB) and Advanced Peripheral Bus
(APB).
• Focuses on supporting communication between devices using the AHB and APB protocols.

• Aims to provide a seamless connection and data transfer between AHB master devices and APB slave
devices.
• Involves the creation of modules or components that facilitate the conversion and data exchange between
AHB and APB
• AHB Master - Implements the AHB master interface to initiate transactions on the AHB side of the
bridge.
• AHB Slave - Implements the APB slave interface to respond to transactions initiated by the AHB master.
AHB2APB Design Project
•Addresses challenges related to clock domain crossing between the AHB and APB domains.

•Focuses on optimization for performance and resource utilization.

•Involves thorough testing to ensure correct functionality and compliance with AHB and APB
specifications
•Designed with scalability in mind to accommodate various AHB and APB configurations and
requirements.
•Adheres to AMBA specifications and industry standards for bus interconnects.

•Evaluates and measures the bridge's performance in terms of latency, throughput, and overall
efficiency.
Conclusion
The AHB2APB bridges the gap between AHB and APB. It buffers the AHB's address, controls, and
data, drives the APB peripherals, and sends data and a response signal back to the AHB.

The development of the synthesizable AHB to APB Bridge in verilog HDL was done. The HCLK and
PENABLE mechanism was implemented for making it the low-power consuming system. The
functional verification of the bridge was done by driving various testcases to the design for testing the
features. The multimaster and multislave AHB to APB bridge is one of the future scope.
THANKYOU
PRESENTED BY
ABHISHEK DABAS

You might also like